您的位置:首页 >新能源 >

Mentor图形验证学院增加了SystemVerilog课程和模式库,以扩展工程师的专业知识和资源

2020-07-22 09:54:41来源:

Mentor Graphics Corp.(NASDAQ:MENT)在其验证学院中添加了新的SystemVerilog课程和模式库,这些课程和模式库一起可帮助验证工程师提高其专业知识,生产力和设计质量。由业内领先的SystemVerilog专家之一开发的用于UVM验证的SystemVerilog面向对象编程(OOP)使工程师能够扩展其SystemVerilog技能,并保持最新的概念,技术和方法的最新性。可搜索的通用模式库捕获针对常见重复出现问题的解决方案,使组织能够记录和共享最佳实践,以提高验证效率和最终设计质量。

“验证学院是用于基于UVM的验证的全面,直接且可访问的资源。通过添加新的模式库,学院现在提供了基于示例的可搜索库,用于验证设计模式。” SEAKR Engineering的首席ASIC验证工程师Brian Mathewson说。“在Mentor Graphics业界最佳应用工程师的支持下,验证学院提供了提高验证技能所需的资源,以加快设计和验证周期。”

设计和验证模式为许多现代工程问题提供了一种优化的,可重用的解决方案。在验证中,它们是一种行之有效的工具,可在项目团队中共享最佳实践和技能。Mentor通过创建易于搜索,易于使用且可引用的库来扩展模式的采用,该库扩展了模式的验证应用。新的模式库已从模拟测试平台的编码方面扩展到了更一般的验证问题,例如指定断言,定义输入激励和分析。它涵盖了整个验证领域-从规范到方法到实施。它引入了一套系统的步骤,以一种适用于所有验证引擎(包括仿真和仿真)的方式来组织和记录模式。

对SystemVerilog技能的需求很高。这门新课程由公认的SystemVerilog专家Dave Rich提出,他参与了SystemVerilog的初步开发及其标准化工作,并将继续为其扩展能力和价值做出主要贡献。用于UVM验证的SystemVerilog OOP课程可帮助工程师提高SystemVerilog技能,以便他们可以利用行业需求所需要的高级验证工具和技术。

“随着超过75%的行业采用率,SystemVerilog现在已成为当今用于验证的主要语言。我们新的验证学院SystemVerilog课程,以及我们其他受欢迎的UVM课程,为提高验证技能提供了极好的教育资源。” Mentor设计验证技术部首席科学家Harry Foster说。“新的Verification Academy模式库以易于发现,可引用和相关的格式为许多具有挑战性的断言规范和UVM测试台问题提供了可验证的解决方案。”